數(shù)字顯示電路設(shè)計(jì)



《數(shù)字顯示電路設(shè)計(jì)》由會(huì)員分享,可在線閱讀,更多相關(guān)《數(shù)字顯示電路設(shè)計(jì)(21頁珍藏版)》請?jiān)谘b配圖網(wǎng)上搜索。
1、物理與電子工程學(xué)院 《數(shù)字電路》 課程設(shè)計(jì)報(bào)告書 設(shè)計(jì)題目: 數(shù)字顯示電路設(shè)計(jì) 專 業(yè): 自動(dòng)化 班 級: 10級1班 學(xué)生姓名: 李想 學(xué) 號: 2110341106 指導(dǎo)教師: 胡林 年 月 日 物理與電子工程學(xué)院 課程設(shè)計(jì)任務(wù)書 專業(yè): 自動(dòng)化 班級
2、: 10級2班 學(xué)生姓名 李想 學(xué)號 2110341106 課程名稱 數(shù)字電路 設(shè)計(jì)題目 數(shù)字顯示電路設(shè)計(jì) 設(shè)計(jì)目的、主要內(nèi)容(參數(shù)、方法)及要求 1、課程設(shè)計(jì)是專業(yè)課學(xué)習(xí)過程中一個(gè)非常重要的環(huán)節(jié)。本次課程設(shè)計(jì)的目的是熟悉和掌握數(shù)字顯示電路的應(yīng)用方法,為今后的工作和學(xué)習(xí)打下堅(jiān)實(shí)的基礎(chǔ)。 2、理解數(shù)字顯示電路的原理。 3、掌握數(shù)字顯示電路的應(yīng)用范圍、核心儀器及應(yīng)用電路。 4、檢索閱讀與課程設(shè)計(jì)課題相關(guān)的國內(nèi)科技文獻(xiàn),書寫并按時(shí)提交規(guī)范的課程設(shè)計(jì)。 5、在調(diào)查、實(shí)驗(yàn)、論文撰寫等環(huán)節(jié)中,應(yīng)尊重事實(shí)、尊重實(shí)驗(yàn)結(jié)果,嚴(yán)肅認(rèn)真的的完成每一個(gè)環(huán)節(jié)的相關(guān)工作。 6
3、、課程設(shè)計(jì)應(yīng)論述層次清晰,概念準(zhǔn)確,語句通順。 7、獨(dú)立完成課程設(shè)計(jì)的撰寫工作,不得抄襲和剽竊他人成果。 8、符合課程設(shè)計(jì)寫作規(guī)范,整篇文章不少于3000字。 工作量 2周時(shí)間,每天3學(xué)時(shí),共計(jì)42學(xué)時(shí) 進(jìn)度安排 第1天:下達(dá)任務(wù)書 第2-5天:搜集資料,完成課程設(shè)計(jì)的文獻(xiàn)查閱、試驗(yàn)或調(diào)研工作。 第6-8天:完成課程設(shè)計(jì)的初稿,并提交指導(dǎo)教師。 第9-14天:完成課程設(shè)計(jì)的修改,最終定稿。 主要參考資料 [1]路勇.電子電路實(shí)驗(yàn)及仿真[M].北京:北京交通大學(xué)出版社,2010. [2]孟濤.電工電子EDA實(shí)踐教程[M].北京:機(jī)械工業(yè)出版社,
4、2010. [3]高吉祥.電子技術(shù)基礎(chǔ)實(shí)驗(yàn)與課程設(shè)計(jì)[M].北京:電子工業(yè)出版社,2005 [4]候建軍.電子技術(shù)基礎(chǔ)實(shí)驗(yàn)、綜合設(shè)計(jì)實(shí)驗(yàn)與課程設(shè)計(jì)[M].北京:高等教育出版社,2007. 指導(dǎo)教師簽字 教研室主任簽字 摘 要 采用動(dòng)態(tài)掃描的方式實(shí)現(xiàn)設(shè)計(jì)要求。動(dòng)態(tài)掃描顯示需要由兩組信號來控制:一組是字段輸出口輸出的字形代碼,用來控制顯示的字形,稱為段碼;另一組是位輸出口輸出的控制信號,用來選擇第幾位數(shù)碼管工作,稱為位碼。各位數(shù)碼管的段線并聯(lián),段碼的輸出對各位數(shù)碼管來說都是相同的。因此在同一時(shí)刻如果各位數(shù)碼管的位選線都處于選通狀態(tài)的話,6位數(shù)碼管將顯示相同的
5、字符。若要各位數(shù)碼管能夠顯示出與本位相應(yīng)的字符,就必須采用掃描顯示方式,即在某一時(shí)刻,只讓某一位的位選線處于導(dǎo)通狀態(tài),而其它各位的位選線處于關(guān)閉狀態(tài)。同時(shí),段線上輸出相應(yīng)位要顯示字符的字型碼。這樣在同一時(shí)刻,只有選通的那一位顯示出字符,而其它各位則是熄滅的,如此循環(huán)下去,就可以使各位數(shù)碼管顯示出將要顯示的字符。 MAX+PLUS II 是一個(gè)完全集成化的可編程邏輯環(huán)境,能滿足用戶各種各樣的設(shè)計(jì)需要。它支持Altera公司不同結(jié)構(gòu)的器件,可在多平臺(tái)上運(yùn)行。MAX+PLUS II 具有突出的靈活性和高效性,為設(shè)計(jì)者提供了多種可自由選擇的設(shè)計(jì)方法和工具。 豐富的圖形界面,可隨時(shí)訪問的在線幫助文
6、檔,使用戶能夠快速輕松地掌握和使用MAX+PLUSII軟件。 MAX+PLUSII 具有的強(qiáng)大功能極大地減輕了設(shè)計(jì)者的負(fù)擔(dān),使設(shè)計(jì)者可以快速完成所需的設(shè)計(jì),使用該軟件,用戶從開始設(shè)計(jì)邏輯電路到完成器件下載編程一般只需要數(shù)小時(shí)時(shí)間,其中設(shè)計(jì)的編譯時(shí)間往往僅需數(shù)分鐘。用于可在一個(gè)工作日內(nèi)完成實(shí)現(xiàn)設(shè)計(jì)項(xiàng)目的多次修改,直至最終設(shè)計(jì)定型。 MAX+PLUS II 開發(fā)系統(tǒng)眾多突出的特點(diǎn),使它深受廣大用戶的青睞。 關(guān)鍵詞:數(shù)字顯示電路;動(dòng)態(tài)掃描;段碼 目 錄 第一章 設(shè)計(jì)任務(wù) 1 1.1 項(xiàng)目名稱:設(shè)計(jì)數(shù)字顯示電路 1 1.2項(xiàng)目設(shè)計(jì)說明
7、 1 1.2.1設(shè)計(jì)任務(wù)和要求 1 1.2.2進(jìn)度安排 1 1.3項(xiàng)目總體功能模塊圖 2 第二章 需求分析 2 2.1問題基本描述 2 2.2系統(tǒng)模塊分解 3 2.3系統(tǒng)各模塊功能的基本要求 3 第三章 設(shè)計(jì)原理 3 3.1 設(shè)計(jì)原理 3 3.2 MAXPLUSII介紹 4 第四章 系統(tǒng)功能模塊設(shè)計(jì) 5 4.1.1數(shù)碼管位選控制模塊流程圖 5 4.1.2輸入輸出引腳及其功能說明 5 4.1.3程序代碼實(shí)現(xiàn) 6 4.2數(shù)據(jù)選擇模塊 7 4.2.1.數(shù)據(jù)選擇模(八選一模塊)塊流程圖 7 4.2.2輸入輸出引腳及其功能說明 7 4.2.3程序代碼實(shí)現(xiàn) 7 4.3
8、七段譯碼器模塊 8 4.3.1七段譯碼器模塊模塊流程圖 8 4.3.2輸入輸出引腳及其功能說明 8 4.3.3程序代碼實(shí)現(xiàn) 8 第五章調(diào)試并分析結(jié)果 9 5.1輸入說明 9 5.2預(yù)計(jì)輸出 9 5.3測試結(jié)果記錄 9 5.4測試結(jié)果分析 10 第六章 結(jié)論 10 6.1心得體會(huì) 10 參 考 文 獻(xiàn) 11 附 錄 12 第一章 設(shè)計(jì)任務(wù) 1.1 項(xiàng)目名稱:設(shè)計(jì)數(shù)字顯示電路 本項(xiàng)目的主要內(nèi)容是設(shè)計(jì)并實(shí)現(xiàn)8位數(shù)碼管輪流顯示8個(gè)數(shù)字。該電路將所學(xué)的數(shù)字電路與系統(tǒng)大部分知識(shí)和VHDL語言結(jié)合。 1.2項(xiàng)目設(shè)計(jì)說明 1.2.1設(shè)計(jì)任務(wù)和要求 A、用CPL
9、D設(shè)計(jì)一個(gè)八位數(shù)碼管顯示電路; B、8位數(shù)碼管輪流顯示8個(gè)數(shù)字,選擇合適的時(shí)鐘脈沖頻率實(shí)現(xiàn)8個(gè)數(shù)碼 管同時(shí)被點(diǎn)亮的視覺效果。 1.2.2進(jìn)度安排 第一周至第二周每周二2課時(shí),共10課時(shí)。具體安排為:第一周至第三周 6課時(shí)自行設(shè)計(jì)、第四周實(shí)驗(yàn)結(jié)果驗(yàn)收、第五周交報(bào)告并進(jìn)行答辯。 1.3項(xiàng)目總體功能模塊圖 第二章 需求分析 2.1問題基本描述 基本系統(tǒng)流程圖如下 2.2系統(tǒng)模塊分解 動(dòng)態(tài)掃描顯示電路的主要組成為:計(jì)數(shù)器、顯示譯碼器、32選4數(shù)據(jù)選擇器、掃描電路組成。 2.3系統(tǒng)各模塊功能的基本要求 1、計(jì)數(shù)器:CN8模塊輸入信號是時(shí)鐘脈clk,每遇
10、到一個(gè)時(shí)鐘脈沖clk上升沿時(shí),內(nèi)部累 加器便加一,再把累加器所得結(jié)果與2進(jìn)制數(shù)的形式輸出。要顯示8位數(shù)字,所以用3位2進(jìn)制數(shù)作為輸出。輸出信號為cout[0..2]。 2、八選一數(shù)據(jù)選擇模塊:模塊輸入信號一個(gè)是數(shù)據(jù)選擇器的地址碼SEL[2..0],另一部分是數(shù)據(jù)信息A[3..0]~F[3..0].地址碼是SEL[2..0]來自時(shí)鐘脈沖計(jì)數(shù)器CN6,由地址碼SEL[2..0]決定輸出哪個(gè)輸入數(shù)據(jù)。輸出信號是q[3..0]; 3、掃描顯示譯碼器:完成對7字段數(shù)碼管顯示的控制。 第三章 設(shè)計(jì)原理 3.1 設(shè)計(jì)原理 采用動(dòng)態(tài)掃描的方式實(shí)現(xiàn)設(shè)計(jì)要求。動(dòng)態(tài)掃描顯示需要由兩組信號來控制:
11、一組是字段輸出口輸出的字形代碼,用來控制顯示的字形,稱為段碼;另一組是位輸出口輸出的控制信號,用來選擇第幾位數(shù)碼管工作,稱為位碼。各位數(shù)碼管的段線并聯(lián),段碼的輸出對各位數(shù)碼管來說都是相同的。因此在同一時(shí)刻如果各位數(shù)碼管的位選線都處于選通狀態(tài)的話,6位數(shù)碼管將顯示相同的字符。若要各位數(shù)碼管能夠顯示出與本位相應(yīng)的字符,就必須采用掃描顯示方式,即在某一時(shí)刻,只讓某一位的位選線處于導(dǎo)通狀態(tài),而其它各位的位選線處于關(guān)閉狀態(tài)。同時(shí),段線上輸出相應(yīng)位要顯示字符的字型碼。這樣在同一時(shí)刻,只有選通的那一位顯示出字符,而其它各位則是熄滅的,如此循環(huán)下去,就可以使各位數(shù)碼管顯示出將要顯示的字符。 雖然這些字符是在
12、不同時(shí)刻出現(xiàn)的,而且同一時(shí)刻,只有一位顯示,其它各位熄滅,但由于數(shù)碼管具有余輝特性和人眼有視覺暫留現(xiàn)象,只要每位數(shù)碼管顯示間隔足夠短,給人眼的視覺印象就會(huì)是連續(xù)穩(wěn)定地顯示。 總之,多個(gè)數(shù)碼管動(dòng)態(tài)掃描顯示,是將所有數(shù)碼管的相同段并聯(lián)在一起,通過選通信號分時(shí)控制各個(gè)數(shù)碼管的公共端,循環(huán)一次點(diǎn)亮多個(gè)數(shù)碼管,并利用人眼的視覺暫留現(xiàn)象,只要掃描的頻率較大,將看不到閃爍現(xiàn)象。將會(huì)看到6個(gè)數(shù)碼管持續(xù)穩(wěn)定點(diǎn)亮的現(xiàn)象。 3.2 MAXPLUSII介紹 MAX+PLUS II 是一個(gè)完全集成化的可編程邏輯環(huán)境,能滿足用戶各種各樣的設(shè)計(jì)需要。它支持Altera公司不同結(jié)構(gòu)的器件,可在多平臺(tái)上運(yùn)行。MAX+
13、PLUS II 具有突出的靈活性和高效性,為設(shè)計(jì)者提供了多種可自由選擇的設(shè)計(jì)方法和工具。 豐富的圖形界面,可隨時(shí)訪問的在線幫助文檔,使用戶能夠快速輕松地掌握和使用MAX+PLUSII軟件。 MAX+PLUSII 具有的強(qiáng)大功能極大地減輕了設(shè)計(jì)者的負(fù)擔(dān),使設(shè)計(jì)者可以快速完成所需的設(shè)計(jì),使用該軟件,用戶從開始設(shè)計(jì)邏輯電路到完成器件下載編程一般只需要數(shù)小時(shí)時(shí)間,其中設(shè)計(jì)的編譯時(shí)間往往僅需數(shù)分鐘。用于可在一個(gè)工作日內(nèi)完成實(shí)現(xiàn)設(shè)計(jì)項(xiàng)目的多次修改,直至最終設(shè)計(jì)定型。 MAX+PLUS II 開發(fā)系統(tǒng)眾多突出的特點(diǎn),使它深受廣大用戶的青睞。 MAX+PLUSII支持 Altera公司的
14、 Classic、ACEX 1K、 MAX 3000、 MAX 5000、 MAX 7000、 MAX 9000、 FLEX 6000和 FLEX 10K等系列的可編程邏輯器件,門數(shù)為600~250000門,提供了工業(yè)界真正與結(jié)構(gòu)無關(guān)的可編程邏輯設(shè)計(jì)環(huán)境。MAX+PLUSII的編輯器還提供了強(qiáng)大的邏輯綜合與優(yōu)化功能以減輕用戶的設(shè)計(jì)負(fù)擔(dān)。 MAX+PLUSII軟件的設(shè)計(jì)輸入、處理、校驗(yàn)功能完全集成于可編程邏輯開發(fā)工具內(nèi),從而可以更快的進(jìn)行調(diào)試,縮短開發(fā)周期。 設(shè)計(jì)者可以從各種設(shè)計(jì)輸入、編輯、校驗(yàn)及器件編程工具中作出選擇,形成用戶風(fēng)格的開發(fā)環(huán)境,必要時(shí)還可以在保留原始功能的基礎(chǔ)上添加新的功能。
15、由于MAX+PLUSII支持多種器件系列,設(shè)計(jì)者無須學(xué)習(xí)新的開發(fā)工具即可對新結(jié)構(gòu)的器件進(jìn)行開發(fā)。 MAX+PLUSII軟件支持多種HDL的設(shè)計(jì)輸入,包括標(biāo)準(zhǔn)的VHDL、 Verilog HDL及Altera公司自己開發(fā)的硬件描述語言AHDL。 MAX+PLUS II 由設(shè)計(jì)輸入、項(xiàng)目處理、項(xiàng)目檢驗(yàn)和器件編程等4部分組成,所有這些部分都集成在一個(gè)可視化的操作環(huán)境下。 MAX+PLUS II 管理窗口包括項(xiàng)目路徑、工作文件標(biāo)題條、MAX+PLUS II菜單條、快捷工具條和工作區(qū)等幾個(gè)部分。設(shè)置好授權(quán)碼后,啟動(dòng)MAX+PLUS II即進(jìn)入MAX+PLUS II 管理窗口,如圖6.4所示。 M
16、AX+PLUS II 還為用戶提供了功能強(qiáng)大的在線幫助功能。通過使用在線幫助,用戶可以獲得設(shè)計(jì)中所需的全部信息。 第四章 系統(tǒng)功能模塊設(shè)計(jì) 4.1計(jì)數(shù)(數(shù)碼管位選控制)模塊 數(shù)碼管位選控制模塊流程圖 4.1.2輸入輸出引腳及其功能說明 CN8模塊輸入信號是時(shí)鐘脈沖clk,每遇到一個(gè)時(shí)鐘脈沖clk上升沿時(shí),內(nèi)部累加器便加一,再把累加器所得結(jié)果與2進(jìn)制數(shù)的形式輸出。要顯示八位數(shù)字,所以用3位2進(jìn)制數(shù)作為輸出。輸出信號為cout[0..2]。總之是通過輸入輸出信號來對數(shù)碼管進(jìn)行位選控制。 4.1.3程序代碼實(shí)現(xiàn) library ieee; use ieee.std_l
17、ogic_1164.all; use ieee.std_logic_unsigned.all; entity cn8 is port(clr,start,clk: in bit; cout: out std_logic_vector(2 downto 0)); end cn8; architecture a of cn8 is signal temp:std_logic_vector(2 downto 0); begin process(clk,clr) begin if clr='0' then
18、 temp<="000"; cout<='0'; elsif (clk'event and clk='1') then if start='0' then if temp>="111" then temp<="000"; cout<='1'; else temp<=temp+1;
19、 cout<='0'; end if; end if; end if; end process; cout<=temp; end a; 4.2數(shù)據(jù)選擇模塊 4.2.1.數(shù)據(jù)選擇模(八選一模塊)塊流程圖 4.2.2輸入輸出引腳及其功能說明 SEL81模塊輸入信號一個(gè)是數(shù)據(jù)選擇器SEL81的地址碼SEL[2..0],另一部分是數(shù)據(jù)信息A[3..0]~H[3..0].地址碼是SEL[2..0]來自時(shí)鐘脈沖計(jì)數(shù)器CN8,由地址碼SEL[
20、2..0]決定輸出哪個(gè)輸入數(shù)據(jù)。輸出信號是q[3..0]。 4.2.3程序代碼實(shí)現(xiàn) Library ieee; Use ieee.std_logic_1164.all; Entity sel81 is Port(sel:in std_logic_vector(2 downto 0); A,b,c,d,e,f,g,h:in std_logic_vector(3 downto 0); Q:out std_logic_vector(3 downto 0)); End sel81; Architecture rtl of sel81 is begin
21、 Process(a,b,c,d,e,f,g,h,sel) Variable cout:std_logic_vector(3 downto 0); Begin Case(sel)is When"000"=>cout:=a; When"001"=>cout:=b; When"010"=>cout:=c; When"011"=>cout:=d; When"100"=>cout:=e; When"101"=>cout:=f;
22、 When"110"=>cout:=g; When others =>cout:=h; End case; Q<=cout; End process; End rtl; 4.3七段譯碼器模塊 4.3.1七段譯碼器模塊模塊流程圖 4.3.2輸入輸出引腳及其功能說明 DISP模塊是七段譯碼器,將輸入的4位二進(jìn)制數(shù)轉(zhuǎn)換為數(shù)碼顯示管對應(yīng)的數(shù)字。例如輸入為4進(jìn)制數(shù)0000的時(shí)候,使數(shù)碼顯示管顯示0,則要七段譯碼器輸出為011111。即g段為0,g段發(fā)光二極管不亮,其它發(fā)光二極管被點(diǎn)亮,顯示效果為0。DISP模塊輸入信號D[3..0],輸出信
23、號是Q[6..0]。 4.3.3程序代碼實(shí)現(xiàn) Library ieee; Use ieee.std_logic_1164.all; Entity disp is port(d:in std_logic_vector(3 downto 0); q:out std_logic_vector(6 downto 0)); end disp; Architecture rtl of disp is Begin Process(d) Begin Case d is
24、 When"0000"=>q<="0111111"; When"0001"=>q<="0000110"; When"0010"=>q<="1011011"; When"0011"=>q<="1001111"; When"0100"=>q<="1100110"; When"0101"=>q<="1101101"; When"0110"=>q<="1111101"; When"0111"=>q<="0100111";
25、 When"1000"=>q<="1111111"; When others=>q<="1101111"; End case; End process; End rtl; 第五章調(diào)試并分析結(jié)果 5.1輸入說明 clk 時(shí)鐘輸入 Clr 計(jì)數(shù)器清零(低電平有效) Start 打開計(jì)數(shù)器(低電平有效) A[3..0]..H[3..0] 輸入要顯示的數(shù)字 5.2預(yù)計(jì)輸出 Cout[2..0] 數(shù)碼管位選控制 Q[6..0] 數(shù)碼管
26、段選控制 5.3測試結(jié)果記錄 同時(shí)顯示0,1,2,3,4,5,6,7這八個(gè)不同的數(shù)字圖形到八個(gè)數(shù)碼管上。 5.4測試結(jié)果分析 輸入一個(gè)時(shí)鐘,驅(qū)動(dòng)計(jì)數(shù)器工作。選用模值為8的計(jì)數(shù)器,依次控制8個(gè)數(shù)碼管的亮滅,使得某一時(shí)刻有且僅有一個(gè)數(shù)碼管點(diǎn)亮,同時(shí)產(chǎn)生對應(yīng)的,將點(diǎn)亮的數(shù)碼管賦值顯示為相應(yīng)的數(shù)碼予以顯示。由于掃描頻率較高,8位數(shù)碼管序列將顯示持續(xù)穩(wěn)定的0至7的數(shù)碼。 第六章 結(jié)論 6.1心得體會(huì) 在課程設(shè)計(jì)之前,我學(xué)過51單片機(jī),對硬件與軟件的聯(lián)系有初步的了解,其次我的題目相對較簡單---設(shè)計(jì)8位數(shù)碼管動(dòng)態(tài)顯示電路,所以上手特別的快。在編寫程序之前,我到實(shí)驗(yàn)室實(shí)地考察了一下試驗(yàn)箱,
27、發(fā)現(xiàn)8位數(shù)碼管實(shí)驗(yàn)無法實(shí)現(xiàn),只能實(shí)現(xiàn)6位,開關(guān)實(shí)現(xiàn)數(shù)碼管數(shù)字變換也不現(xiàn)實(shí),單單8位數(shù)碼管的數(shù)字就需要32個(gè)開關(guān)控制,試驗(yàn)箱遠(yuǎn)遠(yuǎn)達(dá)不到這個(gè)要求,因此,我就設(shè)計(jì)全軟件來控制并且做得非常成功。最后驗(yàn)收時(shí)聽老師分析,全軟件的程序有很大的缺陷,然后對我的設(shè)計(jì)又進(jìn)一步的改進(jìn),用開關(guān)來進(jìn)行控制。 總之,通過這次課程設(shè)計(jì),我對EDA技術(shù)有了更進(jìn)一步的了解。也知道了如何把vhdl的程序裝到實(shí)驗(yàn)的硬件中,然后如何的連接實(shí)驗(yàn)箱上的管腳。 通過在上網(wǎng)查詢本次實(shí)驗(yàn)相關(guān)資料。豐富了對EDA的了解。 參 考 文 獻(xiàn) [1]路勇.電子電路實(shí)驗(yàn)及仿
28、真[M].北京:北京交通大學(xué)出版社,2010. [2]孟濤.電工電子EDA實(shí)踐教程[M].北京:機(jī)械工業(yè)出版社,2010. [3]高吉祥.電子技術(shù)基礎(chǔ)實(shí)驗(yàn)與課程設(shè)計(jì)[M].北京:電子工業(yè)出版社,2005 [4]候建軍.電子技術(shù)基礎(chǔ)實(shí)驗(yàn)、綜合設(shè)計(jì)實(shí)驗(yàn)與課程設(shè)計(jì)[M].北京:高等教育出版社,2007. 附 錄 課程設(shè)計(jì)中的程序如下: library ieee; use ieee.std_logic_1164.all; use i
29、eee.std_logic_unsigned.all; entity div2k is port(clk_in : in std_logic; clk_out : out std_logic); end; architecture a of div2k is signal cnt : integer range 0 to 999; signal clk_tmp : std_logic; begin process(clk_in) begin if (clk_in'event and clk_in='1') then if
30、 cnt=999 then cnt<=0; clk_tmp<= not clk_tmp; else cnt<=cnt+1; end if; end if; end process; clk_out<=clk_tmp; end; ------B LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; --USE IEEE.STD_numeric_std ENTITY scan_led IS
31、 PORT ( CLK : IN STD_LOGIC; SG : OUT STD_LOGIC_VECTOR(6 DOWNTO 0); BT : OUT STD_LOGIC_VECTOR(2 DOWNTO 0) ); END; ARCHITECTURE arc OF scan_led IS component div2k port(clk_in: in std_logic; clk_out: out std_logic); end component; SIGNAL CNT6
32、 : INTEGER RANGE 0 TO 5; SIGNAL A : INTEGER RANGE 0 TO 5; SIGNAL COUNT : INTEGER RANGE 0 TO 5000 := 0; SIGNAl FLAG : INTEGER RANGE 0 TO 10 := 0; SIGNAl FLAG_A : INTEGER RANGE 0 TO 15; SIGNAL clk_tmp: STD_LOGIC; BEGIN u1:div2k port map(clk_in=>CLK,clk_out=>clk_tmp); -----
33、--------3線至6線譯碼器----A為位碼------------- P1:process(CNT6) BEGIN CASE CNT6 IS WHEN 0 => BT <= "000" ; A <= 0 ; WHEN 1 => BT <= "001" ; A <= 1 ; WHEN 2 => BT <= "010" ; A <= 2 ; WHEN 3 => BT <= "011" ; A <= 3 ; WHEN 4 =>
34、BT <= "100" ; A <= 4 ; WHEN 5 => BT <= "101" ; A <= 5 ; WHEN OTHERS => NULL ; END CASE ; END PROCESS P1; -------------------------拓展模塊------------------------------------- P2:process(clk_tmp) BEGIN IF clk_tmp'EVENT AND clk_tmp = '1' THEN --實(shí)現(xiàn)模6計(jì)
35、數(shù)器 if CNT6 = 5 then CNT6 <= 0; else CNT6 <= CNT6 + 1; end if; IF (FLAG = 11) THEN --設(shè)置標(biāo)志 FLAG <= 0; END IF; IF COUNT = 5000 THEN --另一個(gè)時(shí)鐘 COUNT <= 0; FLAG <= FLAG + 1; --當(dāng)記滿5000時(shí)左移動(dòng)一位 ELSE COUNT <= COUNT + 1; END IF;
36、END IF; END PROCESS P2; P3:process(A) BEGIN FLAG_A <= (A + FLAG) ; --0-F循環(huán)顯示 CASE FLAG_A IS WHEN 0 => SG <= "1111110"; --0 --共陰abcdefg WHEN 1 => SG <= "0110000"; --1 WHEN 2 => SG <= "1101101"; --2 WHEN 3 => SG <= "1111001"; --3 WHE
37、N 4 => SG <= "0110011"; --4 WHEN 5 => SG <= "1011011"; --5 WHEN 6 => SG <= "1011111"; --6 WHEN 7 => SG <= "1110000"; --7 WHEN 8 => SG <= "1111111"; --8 WHEN 9 => SG <= "1111011"; --9 WHEN 10 => SG <= "1110111"; --A WHEN 11 => SG <= "0011111"; --B WHEN
38、12 => SG <= "1001110"; --C WHEN 13 => SG <= "0111101"; --D WHEN 14 => SG <= "1001111"; --E WHEN 15 => SG <= "1001111"; --F WHEN OTHERS => NULL ; END CASE ; END PROCESS P3; END arc; 課程設(shè)計(jì)成績評定表 院系: 物理與電子工程學(xué)院 班級: 二班 姓名: 李碩 學(xué)號: 2020
39、341239 項(xiàng)目 分值 優(yōu)秀 (x≥90%) 良好 (90%>x≥80%) 中等 (80%>x≥70%) 及格 (70%>x≥60%) 不及格(x<60%) 評分 參考標(biāo)準(zhǔn) 參考標(biāo)準(zhǔn) 參考標(biāo)準(zhǔn) 參考標(biāo)準(zhǔn) 參考標(biāo)準(zhǔn) 平時(shí)考核 20 學(xué)習(xí)態(tài)度認(rèn)真,科學(xué)作風(fēng)嚴(yán)謹(jǐn),嚴(yán)格保證設(shè)計(jì)時(shí)間并按任務(wù)書中規(guī)定的進(jìn)度開展各項(xiàng)工作。 學(xué)習(xí)態(tài)度比較認(rèn)真,科學(xué)作風(fēng)良好,能按期圓滿完成任務(wù)書規(guī)定的任務(wù)。 學(xué)習(xí)態(tài)度尚好,遵守組織紀(jì)律,基本保證設(shè)計(jì)時(shí)間,按期完成各項(xiàng)工作。 學(xué)習(xí)態(tài)度尚可,能遵守組織紀(jì)律,能按期完成任務(wù)。 學(xué)習(xí)馬虎,紀(jì)律渙散
40、,工作作風(fēng)不嚴(yán)謹(jǐn),不能保證設(shè)計(jì)時(shí)間和進(jìn)度。 課程設(shè)計(jì)報(bào)告 報(bào)告內(nèi)容組織書寫 20 結(jié)構(gòu)嚴(yán)謹(jǐn),邏輯性強(qiáng),層次清晰,語言準(zhǔn)確,文字流暢,完全符合規(guī)范化要求,書寫工整或用計(jì)算機(jī)打印成文;圖紙非常工整、清晰。 結(jié)構(gòu)合理,符合邏輯,文章層次分明,語言準(zhǔn)確,文字流暢,符合規(guī)范化要求,書寫工整或用計(jì)算機(jī)打印成文;圖紙工整、清晰。 結(jié)構(gòu)合理,層次較為分明,文理通順,基本達(dá)到規(guī)范化要求,書寫比較工整;圖紙比較工整、清晰。 結(jié)構(gòu)基本合理,邏輯基本清楚,文字尚通順,勉強(qiáng)達(dá)到規(guī)范化要求;圖紙比較工整。 內(nèi)容空泛,結(jié)構(gòu)混亂,文字表達(dá)不清,錯(cuò)別字較多,達(dá)不到規(guī)范化要求;圖紙不工整或不清晰。 技術(shù)
41、水平 20 設(shè)計(jì)合理、理論分析與計(jì)算正確,文獻(xiàn)查閱能力強(qiáng)、引用合理、調(diào)查調(diào)研非常合理、可信。 設(shè)計(jì)合理、理論分析與計(jì)算正確,文獻(xiàn)引用、調(diào)查調(diào)研比較合理、可信。 設(shè)計(jì)合理,理論分析與計(jì)算基本正確,主要文獻(xiàn)引用、調(diào)查調(diào)研比較可信。 設(shè)計(jì)基本合理,理論分析與計(jì)算無大錯(cuò)。 設(shè)計(jì)不合理,理論分析與計(jì)算有原則錯(cuò)誤,文獻(xiàn)引用、調(diào)查調(diào)研有較大的問題。 仿真/制作 40 實(shí)驗(yàn)數(shù)據(jù)準(zhǔn)確,有很強(qiáng)的實(shí)際動(dòng)手能力和計(jì)算機(jī)應(yīng)用能力。 實(shí)驗(yàn)數(shù)據(jù)比較準(zhǔn)確,有較強(qiáng)的實(shí)際動(dòng)手能力和計(jì)算機(jī)應(yīng)用能力。 實(shí)驗(yàn)數(shù)據(jù)比較準(zhǔn)確,有一定的實(shí)際動(dòng)手能力。 實(shí)驗(yàn)數(shù)據(jù)無大錯(cuò)。 實(shí)驗(yàn)數(shù)據(jù)不可靠,實(shí)際動(dòng)手能力差。 指導(dǎo)教師簽名 指導(dǎo)教師評定成績
- 溫馨提示:
1: 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
2: 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
3.本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
5. 裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。
最新文檔
- 離心泵的檢修各零部件檢修標(biāo)準(zhǔn)
- 金屬材料疲勞強(qiáng)度的八大主要影響因素
- 機(jī)械安全知識(shí)
- 電機(jī)的工作原理與種類
- 設(shè)備點(diǎn)檢內(nèi)容
- 有效防止液壓系統(tǒng)漏油的技術(shù)要領(lǐng)
- 鈑金和管工機(jī)械安全操作規(guī)程
- 閥門的100個(gè)專業(yè)術(shù)語
- 某單位機(jī)械設(shè)備安全檢查表
- 離心泵的汽蝕與吸入特性
- 過濾網(wǎng)目數(shù)標(biāo)準(zhǔn)
- 減少設(shè)備潤滑故障的措施
- 離心泵機(jī)械密封安裝使用規(guī)則
- 閥門常見故障與原因
- 呼吸閥和真空破壞閥基礎(chǔ)知識(shí)總結(jié)
相關(guān)資源
更多